Welcome![Sign In][Sign Up]
Location:
Search - Verilog ADC

Search list

[OtherADC

Description: 这是个别人写的通俗易懂的verilog控制ADC工作的源程序。没有注释,不过描述语言较简单,适用于verilog的初学者-this text describes how verilog controls ADC working ,which is very simple for fishes of verilog,though there is no explanation.
Platform: | Size: 1024 | Author: 陈木 | Hits:

[VHDL-FPGA-VerilogADC

Description: 使用TI公司的TLC549芯片实现模数转换,使用verilog语言进行编程,功能完整-Using TI' s TLC549 chip analog to digital conversion, using verilog programming language, full-featured
Platform: | Size: 10240 | Author: | Hits:

[VHDL-FPGA-VerilogFpga-based-ADC-sampling-voltage-

Description: 基于fpga的ADC采样电压用,显示在数码管上。verilog语言。-Fpga-based ADC sampling voltage used, displayed on the digital pipe. verilog language.
Platform: | Size: 2267136 | Author: 祖儿 | Hits:

[VHDL-FPGA-VerilogDE1-verilog

Description: Altera公司推出最新开发板DE1。该资料为DE1的FPGA 代码,包括ADC,音频处理,视频输出等,供大家参考使用。-Altera Corporation introduced the latest development board DE1. The data for the DE1 FPGA code, including the ADC, audio processing, video output, etc., for your use and reference.
Platform: | Size: 11901952 | Author: 小陈 | Hits:

[Other Embeded programadc

Description: VERILOG编程,利用状态机实现对TLC549的采样控制,实验时可调节电位器RW1(在开发板底板左下角),改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。 -Implementation of sampling control of TLC549 using state machine, adjustable potentiometer RW1 experiment (in the development board bottom left corner), change the ADC The analog input values, data acquisition and read in the digital tube display.
Platform: | Size: 1024 | Author: suzhangzhan | Hits:

[VHDL-FPGA-Verilogexample14-ADC-ok

Description: 基于verilog HDL开发的ADC tlc549程序控制,已经调试通过。-Based verilog HDL developed ADC tlc549 control program has been adopted debugging.
Platform: | Size: 499712 | Author: lwb | Hits:

[VHDL-FPGA-Verilogadc.v

Description: this an adc interface verilog code-this is an adc interface verilog code
Platform: | Size: 2048 | Author: chester | Hits:

[VHDL-FPGA-VerilogADC

Description: verilog At the last, before starting fist go through the FPGA NEXYS2 Board manual. It will be useful for you for this interfacing and also for the future. Best of luck…, try this one because practice makes man perfect. And, yes also if you have any doubt related to this project, please ask and also provide us your valuable feedback. This work is done by me and my friend(Sumit Gautam, M.Tech, IIITA). I think you all will find it useful. Thank you!
Platform: | Size: 2048 | Author: sid | Hits:

[Other Embeded programvst

Description: adc寄存器配置,功能代码编写,实现1GSPS采样率信号采集功能(ADC register configuration)
Platform: | Size: 151552 | Author: Kevin_Trey5 | Hits:

[Embeded-SCM Develop01_MODEL_FIXED

Description: FPGA控制时钟以及数据的传输相位调节,可以很方便地进行ADC等高速接口的动态相位调整;(FPGA control clock and data transmission phase adjustment, can be easily carried out ADC and other high-speed interface dynamic phase adjustment;)
Platform: | Size: 6144 | Author: 想简单_lk | Hits:

[VHDL-FPGA-Verilogcdce_72010

Description: cdce72010 verilog code
Platform: | Size: 2048 | Author: smankch14 | Hits:

[OtherADS8329

Description: ADC芯片ADS8329数据采集的verilog代码,已经用在工程中,没问题。(ADC chip ADS8329 data acquisition Verilog code, has been used in the project, no problem.)
Platform: | Size: 1024 | Author: 麦子名兜 | Hits:

[Otherget_data

Description: 通过使用线性序列机用来对ADC进行设定,此程序比较适合使用Verilog的初学者。非常简洁明了。(By using linear sequence machine to set the ADC, this program is more suitable for beginners using Verilog. Very concise and clear.)
Platform: | Size: 765952 | Author: Pesudo | Hits:

[Other用verilog编写的sigma-delta adc例子

Description: 累加器实现艾哈空间哈卡哈尽快啊哈卡哈卡快捷回复哈哈哈看(Accumulator implementation)
Platform: | Size: 4096 | Author: 西伯利亚牛 | Hits:

[VHDL-FPGA-Verilogad7606

Description: AD7606采集代码,用于verilog 驱动 AD7606 adc SPI 串口方式(AD7606 acquisition code, used for Verilog drive AD7606 ADC SPI serial mode)
Platform: | Size: 1024 | Author: 烦恼的按钮 | Hits:

[VHDL-FPGA-Verilogadc

Description: 使用verilog 硬件描述语言编写的ad采样模块,希望对大家有用。(Using Verilog hardware description language written in AD sampling module, I hope useful for everyone)
Platform: | Size: 6785024 | Author: ET@AF | Hits:

[VHDL-FPGA-VerilogADC0804

Description: 控制ADC0804的verilog 代码,cpld/fpga都可以使用,用数码管显示ADC采集的二进制数据。(Control ADC0804 verilog code, cpld / fpga can be used to display the ADC digital tube with the binary data collected.)
Platform: | Size: 1024 | Author: w74177 | Hits:

[VHDL-FPGA-Veriloganalog_and_mixed_signal_ic_design

Description: 模拟与混合信号集成电路前端设计培训,内含ADC设计,verilog A, SPICE,设计方程\方法等(Analog and mixed signal ic front end design tutorial, example ADC design. including Verilog A, SPICE and design equations for AMS circuit design.)
Platform: | Size: 25105408 | Author: Alex Xiang | Hits:

[VHDL-FPGA-VerilogSPI_ADC

Description: spi串行输出ADC——AD7989的verilog源代码。(Spi serial output ADC - AD7989 Verilog source code.)
Platform: | Size: 1024 | Author: Zhongzi123 | Hits:

[VHDL-FPGA-Verilogtest_ADC

Description: verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
Platform: | Size: 12637184 | Author: 白珑 | Hits:
« 1 2 34 5 »

CodeBus www.codebus.net